Klimi's new dotfiles with stow.
選択できるのは25トピックまでです。 トピックは、先頭が英数字で、英数字とダッシュ('-')を使用した35文字以内のものにしてください。

313 行
2.3 KiB

  1. `define
  2. `else
  3. `endif
  4. `ifdef
  5. `ifndef
  6. `macromodule
  7. `module
  8. `primitive
  9. `timescale
  10. above
  11. abs
  12. absdelay
  13. ac_stim
  14. acos
  15. acosh
  16. alias
  17. aliasparam
  18. always
  19. always_comb
  20. always_ff
  21. always_latch
  22. analog
  23. analysis
  24. and
  25. asin
  26. asinh
  27. assert
  28. assign
  29. assume
  30. atan
  31. atan2
  32. atanh
  33. automatic
  34. before
  35. begin
  36. bind
  37. bins
  38. binsof
  39. bit
  40. branch
  41. break
  42. buf
  43. bufif0
  44. bufif1
  45. byte
  46. case
  47. casex
  48. casez
  49. cell
  50. chandle
  51. class
  52. clocking
  53. cmos
  54. config
  55. connectmodule
  56. connectrules
  57. const
  58. constraint
  59. context
  60. continue
  61. cos
  62. cosh
  63. cover
  64. covergroup
  65. coverpoint
  66. cross
  67. ddt
  68. ddx
  69. deassign
  70. default
  71. define
  72. defparam
  73. design
  74. disable
  75. discipline
  76. dist
  77. do
  78. driver_update
  79. edge
  80. else
  81. end
  82. endcase
  83. endclass
  84. endclocking
  85. endconfig
  86. endconnectrules
  87. enddiscipline
  88. endfunction
  89. endgenerate
  90. endgroup
  91. endif
  92. endinterface
  93. endmodule
  94. endnature
  95. endpackage
  96. endparamset
  97. endprimitive
  98. endprogram
  99. endproperty
  100. endsequence
  101. endspecify
  102. endtable
  103. endtask
  104. enum
  105. event
  106. exclude
  107. exp
  108. expect
  109. export
  110. extends
  111. extern
  112. final
  113. final_step
  114. first_match
  115. flicker_noise
  116. floor
  117. flow
  118. for
  119. force
  120. foreach
  121. forever
  122. fork
  123. forkjoin
  124. from
  125. function
  126. generate
  127. genvar
  128. ground
  129. highz0
  130. highz1
  131. hypot
  132. idt
  133. idtmod
  134. if
  135. ifdef
  136. iff
  137. ifndef
  138. ifnone
  139. ignore_bins
  140. illegal_bins
  141. import
  142. incdir
  143. include
  144. inf
  145. initial
  146. initial_step
  147. inout
  148. input
  149. inside
  150. instance
  151. int
  152. integer
  153. interface
  154. intersect
  155. join
  156. join_any
  157. join_none
  158. laplace_nd
  159. laplace_np
  160. laplace_zd
  161. laplace_zp
  162. large
  163. last_crossing
  164. liblist
  165. library
  166. limexp
  167. ln
  168. local
  169. localparam
  170. log
  171. logic
  172. longint
  173. macromodule
  174. mailbox
  175. matches
  176. max
  177. medium
  178. min
  179. modport
  180. module
  181. nand
  182. nand
  183. nature
  184. negedge
  185. net_resolution
  186. new
  187. nmos
  188. nmos
  189. noise_table
  190. nor
  191. noshowcancelled
  192. not
  193. notif0
  194. notif1
  195. null
  196. or
  197. output
  198. package
  199. packed
  200. parameter
  201. paramset
  202. pmos
  203. pmos
  204. posedge
  205. potential
  206. pow
  207. primitive
  208. priority
  209. program
  210. property
  211. protected
  212. pull0
  213. pull1
  214. pullup
  215. pulsestyle_ondetect
  216. pulsestyle_onevent
  217. pure
  218. rand
  219. randc
  220. randcase
  221. randcase
  222. randsequence
  223. rcmos
  224. real
  225. realtime
  226. ref
  227. reg
  228. release
  229. repeat
  230. return
  231. rnmos
  232. rpmos
  233. rtran
  234. rtranif0
  235. rtranif1
  236. scalared
  237. semaphore
  238. sequence
  239. shortint
  240. shortreal
  241. showcancelled
  242. signed
  243. sin
  244. sinh
  245. slew
  246. small
  247. solve
  248. specify
  249. specparam
  250. sqrt
  251. static
  252. string
  253. strong0
  254. strong1
  255. struct
  256. super
  257. supply
  258. supply0
  259. supply1
  260. table
  261. tagged
  262. tan
  263. tanh
  264. task
  265. then
  266. this
  267. throughout
  268. time
  269. timeprecision
  270. timer
  271. timescale
  272. timeunit
  273. tran
  274. tran
  275. tranif0
  276. tranif1
  277. transition
  278. tri
  279. tri
  280. tri0
  281. tri1
  282. triand
  283. trior
  284. trireg
  285. type
  286. typedef
  287. union
  288. unique
  289. unsigned
  290. use
  291. uwire
  292. var
  293. vectored
  294. virtual
  295. void
  296. wait
  297. wait_order
  298. wand
  299. weak0
  300. weak1
  301. while
  302. white_noise
  303. wildcard
  304. wire
  305. with
  306. within
  307. wor
  308. wreal
  309. xnor
  310. xor
  311. zi_nd
  312. zi_np
  313. zi_zd